view tests/test-merge8.out @ 11525:f4eddec324b7

Merge with stable
author Martin Geisler <mg@aragost.com>
date Fri, 09 Jul 2010 14:21:45 +0200
parents a3d73b3e1f8a
children
line wrap: on
line source

updating to branch default
1 files updated, 0 files merged, 0 files removed, 0 files unresolved
pulling from ../a
searching for changes
adding changesets
adding manifests
adding file changes
added 2 changesets with 2 changes to 1 files
(run 'hg update' to get a working copy)
1 files updated, 0 files merged, 1 files removed, 0 files unresolved